blob: 733546ecf4f92a2e8aae0ae4490f3635ef91bdb2 [file] [log] [blame]
/* { dg-do compile { target powerpc*-*-eabi* } } */
/* { dg-options "-O -ftrapping-math -fdump-rtl-final" } */
int isgreater (float f1, float f2)
{
int r = __builtin_isgreater (f1, f2);
return !r ? -1 : 1;
}
int isgreaterequal (float f1, float f2)
{
int r = __builtin_isgreaterequal (f1, f2);
return !r ? -1 : 1;
}
int isless (float f1, float f2)
{
int r = __builtin_isless (f1, f2);
return !r ? -1 : 1;
}
int islessequal (float f1, float f2)
{
int r = __builtin_islessequal (f1, f2);
return !r ? -1 : 1;
}
/* { dg-final { scan-rtl-dump-times "__unordsf2" 4 "final" } } */