blob: c3840aa4e1804843f5e70a634bde969ab1353974 [file] [log] [blame]
-- { dg-do compile }
procedure Allocator is
type Object_Type is not null access all Integer;
type Object_Array is array (Positive range <>) of Object_Type;
type Object_Array_Ptr is access Object_Array;
type Data_Ptr is access Object_Array_Ptr;
Copy : Data_Ptr := new Object_Array_Ptr;
begin
Copy.all := new Object_Array (1..2);
end;