blob: 48c00466e6fbd4a03651e1132652f9c0486b4b41 [file] [log] [blame]
package Array32 is
type Rec is record
I : Integer;
end record;
type Arr is array (Positive range <>) of Rec;
procedure Init (A : out Arr);
end Array32;