blob: 36f9031ada40deee4bf3242305c637e703efc387 [file] [log] [blame]
-- { dg-do compile }
generic
package Iface_Eq_Test is
type Iface is limited interface;
function "=" (L, R : access Iface) return Boolean is abstract;
end;