blob: 76d99fbbf37bc4ec30c2dee01b7441f202f01a7f [file] [log] [blame]
-- { dg-excess-errors "cannot generate code" }
package Pack10_Pkg is
generic
type Vector_Type (<>) is private;
procedure Proc;
end Pack10_Pkg;