blob: 8609d1654e362201c1f3709b5c0f2f964c121e1b [file] [log] [blame]
! { dg-do compile }
program p
use ieee_arithmetic
real(kind=ieee_selected_real_kind(10_1)) :: z1
real(kind=ieee_selected_real_kind(10_2)) :: z2
real(kind=ieee_selected_real_kind(10_4)) :: z4
end