blob: 97158634e7216402c04811f005d417e8c01ba244 [file] [log] [blame]
/* { dg-do compile { target { powerpc*-*-* } } } */
/* { dg-require-effective-target powerpc_p9vector_ok } */
/* { dg-options "-mdejagnu-cpu=power9" } */
#include <stddef.h>
#include <altivec.h>
unsigned char
fetch_data (unsigned int offset, vector unsigned char *datap)
{
vector unsigned char data = *datap;
return vec_xlx (offset, data);
}
/* { dg-final { scan-assembler "vextublx" } } */